VHDL   VHSIC-Very High Speed Integrated Circuit HDL   VHDL 하드웨어기술언어

(2021-02-15)

VHSIC Hardware Description Language


1. VHDL (VHSIC-Very High Speed Integrated Circuit HDL)디지털시스템의 구조와 동작을 표현하는 범용의 `하드웨어 기술 언어`
     - 하위 게이트 레벨에서 상위 동작 레벨까지 하드웨어를 기술하고 설계,테스트 등이 가능

  ㅇ 역사
     - 1980년대초 미국 국방성에서 ASIC 문서화를 위해 최초 시작
        . 복잡한 매뉴얼 대신에, 회로 동작 내용을 문서화 설명하기 위해 개발됨
     - 1987년 IEEE 표준으로 지정, 그후 여러차례 발전되어 발표됨
        . IEEE Std. 1076 (1993,2000,2002,2008년 등)


2. VHDL 언어 규약

  ㅇ 기초 규약
     - 대소문자 : 구분 없음
     - 식별자   : 문자로 시작
     - 모든 구문 끝 : 세미콜론(;)으로 끝남
     - 주석     : --
     - 병행문 (concurrent statement)
        . 여러 입력 신호에서 1 이상 만 변하면 즉시 실행

  ㅇ 문장 구성 요소
     - 예약어(특별한 의미를 갖음), 식별자 (신호,변수 등), 리터럴, 주석 등

  ㅇ 구문 구분 : 선언문, 병행문, 순차문

  ㅇ 코드 구성 기본 구조
     - 주석
     - 라이브러리 선언부 : 공동 사용을 위한 코드 집합선언
     - USE
     - 패키지
     - 엔티티     : 회로의 입출력 핀을 명시함
     - 아키텍처   : 회로 동작(기능)을 표현함
        . 선언부와 몸체부로 구성

하드웨어 기술(記述)
   1. HDL(하드웨어 기술 언어)   2. 레지스터 전달 레벨 (RTL)   3. VHDL  


Copyrightⓒ written by 차재복 (Cha Jae Bok)               기술용어해설 후원
"본 웹사이트 내 모든 저작물은 원출처를 밝히는 한 자유롭게 사용(상업화포함) 가능합니다"